Featured image of post Verilogの環境構築

Verilogの環境構築

VerilogはHDL言語の1種でPythonなどのプログラミング言語と比べるとマイナーなため、無償での環境構築がやや面倒である。ここでは、Icarus Verilogを用いた開発環境の構築を残しておく。

はじめに

VerilogはHDL言語の1種で、FPGAなどを使う際世話になる。Pythonなどのプログラミング言語と比べるとマイナーなため、無償での環境構築がやや面倒である。お金の有る方は有償のVerilogの開発環境を入れることもできるが、貧乏人には厳しい。ここでは、Icarus Verilogを用いた開発環境の構築を残しておく。

Icarus Verilogのインストールとパスを通す

見出しでやることが落ちている。更にここまでは多くのサイトでも解説されているのでここでは省略する。例えば以下のサイトなどわかりやすく書かれている。

Visual Studio CodeをVerilog向けにする

Icarus VerilogはIDEではないので、自分でエディター等を用意して、いちいち実行しなければならない。それは面倒なので、Visual Studio Code上でIcarus Verilogを扱えるようにする。兎にも角にも、まずVisual Studio Codeをインストールする。code.visualstudio.comそして、VS codeを起動し、拡張機能(cntrl+shift+x)を開き、

marketplace.visualstudio.com

marketplace.visualstudio.com

この2つの拡張機能をインストールする。そして、ユーザ設定(contrl+shift+p setting)を開く。

“verilog.linting.linter”: “iverilog”,“verilog.linting.iverilog.runAtFileLocation”: true,

そして上記の二行を書き加える。これでlinterが使えるようになる。次に、runnnerの設定を行う。runnerはbatファイルにファイルを受け渡してくれるので、Icarus VerilogでコンパイルからGTKwaveにファイルを渡すことも可能である。ただし、これは各人の環境によるので、以下に示すbatファイルを編集して使いやすくすればよい。

@echo offREM chcp 65001if “%1” equ "" (echo Loaded file is empty.goto :eof) else (echo File is loaded successfuly!)cd /d %~dp1iverilog %~nx1

これをメモ帳にでもコピーして、拡張子をbatにすれば完成である。そして完成したbatファイルのパスを以下の"“にいれて、先程あげたユーザ設定に同様に加えたら完成である。

“runner.languageMap”: {“verilog”: “D:/Runner/iverilog_run.bat” },

Runnerはcontrl+shift+rで実行される。

comments powered by Disqus
Built with Hugo
テーマ StackJimmy によって設計されています。